欢迎您访问大连虹冠锦江机械设备有限公司官方网站!
热线电话:13842610026 13795193430

半导体产业链深度研报:设备及材料

全球设备市场创新高,受益于制程进步、资本开支提升2020年全球半导体设备市场规模创700亿美元新高,大陆首次占比全球第一。

(报告出品方/作者:国盛证券,郑震湘、陈永亮)

一、设备市场:大陆需求快速增长,国产替代提速

1.1、全球设备市场创新高,受益于制程进步、资本开支提升 2020 年全球半导体设备市场规模创 700 亿美元新高,大陆首次占比全球第一。根据 SEMI,2020 年半导体设备销售额 712 亿美元,同比增长 19%,全年销售额创历史新高。大陆设备市场在 2013年之前占全球比重为 10%以内,2014~2017年提升至 10~20%, 2018 年之后保持在 20%以上,份额呈逐年上行趋势。2020 年,国内晶圆厂投建、半导 体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位,达到 181 亿美元, 同比增长 35.1%,占比 26.2%。2021-2022年,存储需求复苏,韩国领跑全球,但大陆 设备市场规模仍将保持在约 160 亿美元高位。


(报告出品方/作者:国盛证券,郑震湘、陈永亮)

一、设备市场:大陆需求快速增长,国产替代提速

1.1、全球设备市场创新高,受益于制程进步、资本开支提升 2020 年全球半导体设备市场规模创 700 亿美元新高,大陆首次占比全球第一。根据 SEMI,2020 年半导体设备销售额 712 亿美元,同比增长 19%,全年销售额创历史新高。大陆设备市场在 2013年之前占全球比重为 10%以内,2014~2017年提升至 10~20%, 2018 年之后保持在 20%以上,份额呈逐年上行趋势。2020 年,国内晶圆厂投建、半导 体行业加大投入,大陆半导体设备市场规模首次在市场全球排首位,达到 181 亿美元, 同比增长 35.1%,占比 26.2%。2021-2022年,存储需求复苏,韩国领跑全球,但大陆 设备市场规模仍将保持在约 160 亿美元高位。



下游资本开支提升,半导体设备周期向上。伴随着下游资本开支提升,设备厂商营业 收入增速从 2019Q2 触底后逐渐回暖。2020Q1 由于疫情冲击,产品发货推迟,导致单 季度收入增速下调。以 ASML 为例,疫情后营收增速恢复,2021Q1 半导体设备营收增 速更是达到 95.1%,ASML 表示下游对于先进的光刻设备需求有增无减。


未来两年全球晶圆厂设备开支持续增长。疫情对全球半导体行业带来深远影响。需求 端,居家及远程办公带来笔电等消费电子需求激增,此外全球正步入第四轮硅含量提升 周期,服务器、汽车、工业、物联网等需求大规模提升。供给端,全球晶圆厂 2015- 2019 年产能投资(不含存储)尤其是成熟制程扩产不足,疫情短期导致供应链中断, 及地缘政治不确定性加剧供需失衡。2020 年开始,全球领先的晶圆厂纷纷加速扩产提 升资本开支,预计未来两年将进行大规模的半导体设备投资,2021、2022 年晶圆厂前 道设备支出将保持 16%、12%的同比增速。

Capex 进入上行期,台积电、中芯国际纷纷增加资本开支。台积电从 2020 年 170 亿美 金增长到 300 亿美金(用于 N3/N5/N7 的资本开支占 80%),再到 2021 年 4 月 1 日公 布的未来三年资本开支 1000 亿美金;联电从 2020 年 10 亿美金增长到 23 亿美金(用 于的 12 寸晶圆的资本支出占 85%);华虹从 2020 年 11 亿美金增长到 2021 年 13.5 亿 美金(大部分用于华虹无锡 12 寸);中芯国际 2021 年资本维持高位,达到 43 亿美金 (大部分用于扩成熟制程,尤其是 8 寸数量扩 4.5 万片/月),开启新一轮资本开支。




“芯拐点”、新制程、新产能推动需求。我们判断本轮反转首先来自于全球“芯”拐点, 行业向上;其次,先进制程带来的资本开支越来越重,7nm 投资在 100 亿美元,研发 30 亿美元;5~3nm 投资在 200 亿美元;7nm 单位面积生产成本跳升,较 14nm 直接翻 倍;并且,大陆晶圆厂投建带动更多设备投资需求。


2020 全球半导体资本开支恢复增长。根据 IC Insights,VLSI,预计代工 Capex 同比大幅增长 38%。2017 年存储厂商大幅资本开支导致 2018 年下半年开始产能过剩,存储 市场走低,目前存储投资已经开始全面恢复,预计2021年存储Capex同比增长约5%。

1.2、前道设备占主要部分,测试需求增速最快

前道设备占主要部分。设备投资一般占比 70~80%,当制程到 16/14nm 时,设备投资 占比达 85%;7nm 及以下占比将更高。按工艺流程分类,典型的产线上前道、封装、 测试三类设备分别占 85%、6%、9%。



测试需求增长更快。半导体设备 2013~2018 年复合增长率为 15%,前道、封装、测试分别为 15%、11%、16%。增速最快的子项目分别为刻蚀设备(CAGR 24%)和存储 测试设备(CAGR 27%)。




1.3、全球市场受海外厂商主导,前五大厂商市占率较高

全球设备五强占市场主导角色。全球设备格局竞争,主要前道工艺(刻蚀、沉积、涂胶、 热处理、清洗等)整合成三强 AMAT、LAM、TEL。另外,光刻机龙头 ASML 市占率 80%+;过程控制龙头 KLA 市占率 50%。根据 VLSI,ASML、AMAT、LAM Research、 TEL、KLA 五大厂商 2020 年半导体设备收入合计 550 亿美元,占全球市场约 71%。

综合看下来,设备五强市场在各赛道合计市占率基本在 50%以上。AMSL 优势在光刻 方面遥遥领先;AMAT 优势在产品线广,沉积(CVD、PVD)市占率高;LAM 优势在刻蚀领域;TEL 优势在小赛道如涂胶、去胶、热处理;KLA 优势在过程控制。

1.4、国内需求爆发,国产替代空间快速打开

国内晶圆厂投资金额即将进入高峰期。根据统计,2020~2022 年国内晶圆厂总投资金 额约 1500/1400/1200 亿元,其中内资晶圆厂投资金额约 1000/1200/1100 亿元。2020~2022 年国内晶圆厂投资额将是历史上最高的三年,且未来还有新增项目的可能。



设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,从 市场格局来看,细分市场均有较高集中度,主要参与厂商一般不超过 5 家,top3份额往 往高于 90%,部分设备甚至出现一家独大的情况,目前国内厂商目标市场主要是国内 晶圆厂需求,尤其是内资投建的需求。

国内国产化逐渐起航,从 0 到 1 的过程基本完成。中微公司介质刻蚀机已经打入 5nm 制程。北方华创硅刻蚀进入 SMIC 28nm 生产线量产。Mattson(屹唐半导体)在去胶设 备市占率全球第二。盛美半导体单片清洗机在海力士、长存、SMIC 等产线量产。沈阳 拓荆 PECVD 打入 SMIC、华力微 28nm 生产线量产,2018 年 ALD 通过客户 14nm 工艺 验证。精测电子、上海睿励在测量领域突破国外垄断。

制程越高,设备投资额占比越高。设备投资一般占比 70~80%,当制程到 16/14nm 时, 设备投资占比达 85%;7nm 及以下占比将更高。光刻、刻蚀、沉积、过程控制、热处 理等均是重要投资环节。



设备国产化率较低,海外龙头垄断性较高。我国半导体设备市场仍非常依赖进口,目 前国内厂商目标市场主要是国内晶圆厂需求,尤其是内资投建的需求,潜在收入目标空 间较大。

1.5、2021Q1 国产设备厂商高速增长

2020Q4 及 2021Q1 设备收入、利润快速增长,国产替代持续深化。设备行业核心公 司(中微公司、北方华创、至纯科技、精测电子、长川科技、晶盛机电、华峰测控、万 业企业)2020Q4 营业收入 37 亿元,同比增长 33%;归母净利润 5.55 亿元,同比增长 49%。设备行业核心公司 2021Q1 营业收入 42.05 亿元,同比增长 27%;归母净利润 7.6 亿元,同比增长 37%、设备行业持续处于高速增长,国产替代空间快速打开,国内 核心设备公司成长可期。



设备厂商在手订单充足,合同负债保持较高增速。2020Q4/2021Q1,设备板块主要公 司合同负债合计分别为 62.0/77.5 亿元,同比增长 67%/62%,保持较高增速。其中, 北方华创 2020Q4/2021Q1 合同负债分别达到 30.5/44.9 亿元,同比增长 107%/70%。

大陆 12 寸晶圆厂建厂潮带动设备需求持续增长。生产效率及降低成本因素推动下,全 球 8 寸扩产放缓,12 寸晶圆厂扩产如火如荼。2020 年以来,国内 12 寸晶圆厂遍地开 花,除中芯国际外,闻泰、格科微、海芯等公司纷纷计划建设 12 寸晶圆厂,粤芯半导 体、华虹无锡等 12 英寸生产线陆续建成投产。根据 SEMI,2019 年至 2024 年,全球至少新增 38 个 12 寸晶圆厂,其中中国台湾 11 个,中国大陆 8 个,到 2024 年,中国 12 寸晶圆产能将占全球约 20%。大量晶圆厂的扩建、投产,将带动对上游半导体设备的 需求提升,更有望为国产化设备打开发展空间。

二、光刻机:半导体制程工艺核心环节,将掩膜板图形缩小

光刻是将掩膜板上的图形曝光至预涂了光刻胶的晶圆表面上。光刻胶(正胶)受到照 射的部分,将发生化学变化,从而易溶于显影液。

瑞利公式:CD=k1*(λ/NA)。CD 为关键尺寸,为了降低 CD,有三种方式:

(1)降低波 长λ;

(2)提高镜头的数值孔径 NA;

(3)降低综合因素 k1。

生产参数:

(1)分辨率:可达的最小光刻图形尺寸;

(2)套准精度:图形尺寸在亚微 米数量级上,套刻误差在特征尺寸 10%;

(3)产率:对给定掩膜板,每小时能曝光的 晶片数量。

方案升级:接触式——接近式——步进式。

光源升级:1985 年之前,以 g 线(436nm)为主,最小线宽为 1um 以上;1985 年以 后,出现少量i线(365nm)光刻机,最小线宽0.5um;1990年开始出现DUV光刻机, 最小线宽为 0.25um;踏入 21 世纪,193nm 的深紫外线开始使用。

EUV 的采用利好光刻、过程控制(ASML、KLA)。根据 ASML,45K/M 的 logic 产能, 每一层需要一台EUV;100K/M 的 DRAM 产能,每一层需要 1.5~2 台 EUV。预估 TSMC N7 使用 7 层;N5 使用 14 层。ASML 预估 EUV 层数 10~20 层,目前工艺总层数多达 400~600 层。

光刻机发展历史,两次技术分水岭奠定格局变化。2003~2004 年为第一个分水岭:ASML 选择浸润式,Nikon 选择 157nm。2010 年为第二个分水岭:EUV 量产,差距拉大。

三、刻蚀设备:等离子刻蚀复杂程度高,且步骤逐渐增加

刻蚀是用化学、物理、化学物理结合的方法有选择的去除(光刻胶)开口下方的材料。 被刻蚀的材料包括硅、介质材料、金属材料、光刻胶。刻蚀是与光刻相联系的图形化处 理工艺。

湿法刻蚀:用液体化学剂去除衬底表面的材料。早期普遍使用,在 3um 以后由于线宽 控制、刻蚀方向性的局限,主要用干法刻蚀。目前,湿法刻蚀仍用于特殊材料层的去除 和残留物的清洗。

干法刻蚀:常用等离子体刻蚀,也称等离子体刻蚀,即把衬底暴露于气态中产生的等 离子,与暴露的表面材料发生物理反应、化学反应。

刻蚀主要参数:刻蚀速率、均匀性、选择比(对不同材料的刻蚀速率比)、刻蚀坡面 (各向异性、各向同性)

应用最广泛的刻蚀设备是 ICP 与 CCP,技术发展方向是原子层刻蚀(ALE)。

CCP:能量高、精度低,主要用于介质材料刻蚀(形成上层线路)——诸如逻辑芯片的 栅侧墙、硬掩膜刻蚀、中段的接触孔刻蚀、后端的镶嵌式和铝垫刻蚀等,以及 3D 闪存 芯片工艺(氮化硅/氧化硅)的深槽、深孔和连线接触孔的刻蚀等。2015年20亿美元, TEL、LAM 合计市占率达 80%以上。

ICP:能量低、精度高,主要用于硅刻蚀和金属刻蚀(形成底层器件)——硅浅槽隔离 (STI)、锗(Ge)、多晶硅栅结构、金属栅结构、应变硅(Strained-Si)、金属导线、金 属焊垫(Pad)、镶嵌式刻蚀金属硬掩模和多重成像技术中的多道刻蚀工艺。

ALE:未来技术发展方向,能精确刻蚀到原子层(约 0.4nm),具有超高刻蚀选择率。应用广泛。

光刻技术中许多先进制程涉及多重图形技术。即使是 EUV,波长为 13.5nm,要实现 7nm的精度,仍需要依靠多重图形技术,即多次刻蚀。因此制程升级,精度越高,需要 的刻蚀复杂度、步骤数量也在提升。

产业发展趋势:

(1)0.13um 工艺的铜互连技术出现时(300mm 时代),金属刻蚀比例 下降,介质刻蚀的比例大幅上升。

(2)30nm 之后的,多重图像技术、软刻蚀应用的提 升,硅刻蚀(ICP)的占比快速提升。

(3)数十层的金属互联层(后道工艺,BEOL), 精度一般在 20nm 以上的以 CCP 为主;CMOS 核心器件(前道工艺,FEOL)线宽比较 少,往往使用 20nm 以下的 ICP。

(4)EUV 在 foundry/DRAM 的采用,使得刻蚀步骤减 少;3D Nand 采用,使得刻蚀步骤增多,高深宽比刻蚀需求增多。



刻蚀设备市场在晶圆设备的比重不断提升,2017 年成为占比最高的设备,重要性不断 增强。2011 年以来,刻蚀在晶圆设备的占比从 11%逐渐提升到 20%。刻蚀设备市场基 本是法刻蚀设备,其中介质刻蚀和硅/金属刻蚀各占约一半。

四、薄膜设备:用于沉积物质,在设备市场占比较高

薄膜生长:采用物理或化学方法使物质附着于衬底材料表面的过程,常见生长物质包 括金属、氧化物、氮化物等不同薄膜。根据工作原理不同,薄膜沉积生长设备可分为:物理气相沉积(PVD)、化学气相沉积(CVD)和外延三大类。

在半导体领域,薄膜主要分给绝缘薄膜、金属薄膜。大部分绝缘薄膜使用 CVD,金属薄 膜常用 PVD(主要是溅射)。

薄膜设备中,CVD 使用越来越广泛。2018 年晶圆设备市场,沉积设备占比为 22%, CVD 占 15%,PVD 占 4%,其他还有 ECD、MOCVD、SOD、外延等。



CVD:用于沉积介质绝缘层、半导体材料、金属薄膜。

(1)微米时代,化学气相沉积 多采用常压化学气相沉积(APCVD)设备,结构简单。

(2)亚微米时代,低压化学气 相沉积(LPCVD)成为主流,提升薄膜均匀性、沟槽覆盖填充能力。

(3)90nm 以后, 等离子增强化学气相沉积(PECVD)扮演重要角色,等离子体作用下,降低反应温度, 提升薄膜纯度,加强薄膜密度。

(4)45nm 以后,高介电材料(High k)和金属栅 (Metal Gate),引入原子层沉积(ALD)设备,膜层达到纳米级别。——(1)高介电 材料(High k)替代 SiO2,用于制备 MOS 器件的栅介质层,需要引入 ALD。(2)多晶 硅同步地被替代为金属栅(Matal Gate)电极,也用 ALD 设备制备。

2018 年薄膜沉积设备达到 132 亿美元,占晶圆设备约 22%。薄膜沉积中 84%是 CVD;CVD 中 82%是非管式 CVD;Nontube CVD 中最主流的设备是等离子体 CVD、LPCVD、 ALD 等。

Non-Tube 市场前五强 AMAT(28%)、Lam(275)、TEL(18%)、Hitachi(11%)、 ASM(6%),都是半导体设备领域较强的综合厂商。高端领域 ALD 受垄断由 ASM (29%)、TEL(27%)、Lam(20%)主导。

五、清洗设备:去除晶圆片表面杂质,各制程前后均需使用

清洗机是将晶圆表面上产生的颗粒、有机物、自然氧化层、金属杂质等污染物去除, 以获得所需洁净表面的工艺设备。从工艺应用上来说,清洗机目前已广泛应用于集成电 路制造工艺中的成膜前/成膜后清洗、等离子刻蚀后清洗、离子注入后清洗、化学机械 抛光后的清洗和金属沉积后清洗等各个环节。

升级方向:高效且无损。在过去的 25 年中,随着制程升级,晶圆湿法清洗变得越来越 复杂和高效。清洗需要强力有效,还要减少对晶圆表面的损伤。

清洁步骤占半导体工艺所有处理步骤 1/3,最多已经达到 200 次。几乎所有制程的前 后都需要清洗环节。

六、过程控制:制造过程的准确性检测

过程控制:每道制程工艺后,都必须进行尺寸测量、缺陷检测等,用于工艺控制、良率 管理,要求快速、准确。

尺寸测量:测量关键尺寸(CD critical dimension)、膜厚度(thickness)、应力 (stress)、折射率(refractive index )、阶梯覆盖(step coverage)、接触角度 (contact-angle)… 无图形缺陷检测:颗粒(particle)、残留物(residue)、刮伤(scratch)、警惕原生凹坑 (COP)等等。

有图形缺陷检测:短线(break)、线边缺陷(bite)、桥接(bridge)、线形变化(Deformation)等等。

2019 年全球检测、量测设备市场约 60 亿美元,其中中国大陆市场 13 亿美元。根据 TSMC 测算,随着制程微缩、3D 堆叠,测量、检测设备未来有希望翻倍到 120亿美元。KLA 在大多细分领域具有明显优势,此外 AMAT、Nano、ASML、Nova、Hitachi 也有所 布局。



七、测试设备:用于测试晶圆片及成品

半导体测试包括晶圆允收测试(WAT)、晶圆检测(CP)、成品测试(FT) 。WAT 环 节涉及测试机、分选机、探针台;CP 由测试机、探针台搭配完成;FT 涉及测试机、分 选机搭配完成。

晶圆检测(CP)是指在晶圆完成后进行封装前,通过探针台和测试机的配合使用,对 晶圆上的裸芯片进行功能和电参数测试。

成品测试(FT)是指芯片完成封装后,通过分选机和测试机的配合使用,对封装完成 后的芯片进行功能和电参数测试。

测试机行业面临的测试任务日益复杂,测试机的测试能力和配置需求都在提高。随着 集成电路管脚数增多、测试时间增长,包括华峰测控在内的测试机企业越来越多地采用 多工位并测的方案来降低测试时间,推出测试覆盖面更广、资源更多的测试设备,不断 提高测试系统的可靠性和稳定性,以降低客户平均到每颗器件的测试成本。

测试技术要求不断提高。

测试产品技术发展趋势主要包括:(1)并行测试数量和测试 速度的要求不断提升;(2)功能模块需求增加;(3)对测试精度的要求提升;(4)要 求使用通用化软件开发平台;(5)对数据分析能力提升。

半导体测试设备市场呈现寡头垄断格局。集成电路检测在测试精度、速度、效率和可 靠性等方面要求高。全球先进测试设备制造技术基本掌握在美国、日本等集成电路产业 发达国家厂商手中,市场格局呈现泰瑞达、爱德万、科休、科利登等四家厂商寡头垄断。各家厂商在检测设备侧重点也有所区别,如泰瑞达(Teradyne)主要产品为测试机,爱 德万(Advantest)主要产品为测试机和分选机,科利登(Xcerra)主要产品为测试机, 东京电子(Tokyo Electron)主要产品为探针台,北京华峰主要产品为测试机,上海中 艺主要产品为分选机。爱德万和泰瑞达在全球测试设备合计市场份额达到 70%以上。

全球半导体测试设备市场保持稳步增长,其中测试机占比最高。根据 VLSI,全球半导 体后道测试设备市场(含测试机、分选机、探针台)规模约 50 亿美元。检测设备市场 空间大,包括 CP 测试和 FT 测试在内的半导体测试设备占半导体设备市场空间 15%~20%。整个测试设备市场中,测试机比重最高,分选机与探针台相对较少。测试 机按测试对象包括模拟、混合、数字、SOC、存储器测试机等市场。


随着国内封测厂陆续投入新产线,产能实现扩张,将持续带动国内半导体测试设备市场 高速增长。根据 SEMI,2018 年国内集成电路测试设备市场规模约 57.0 亿元,集成电路 测试机、分选机和探针台分别占比 63.1%、17.4%和 15.2%,其它设备占 4.3%。


国内半导体测试设备市场也由海外大厂主导。在测试设备细分领域,目前国内市场仍 主要由美国泰瑞达(Teradyne)、日本爱德万(Advantest)、美国安捷伦(Agilent)、美 国科利登(Xcerra)和美国科休(Cohu)等国际知名企业所占据。这些厂商也会通过设 立全资或合资子公司,推进大陆半导体测试市场的业务。

八、半导体材料:晶圆厂持续扩产,材料拐点已至

8.1、晶圆代工扩产拉动材料需求持续增长

中游代工扩产叠加下游需求激增推动半导体材料市场持续增长。从半导体材料来看, 至 2020 年全球市场规模在 539.0 亿美元,较 2019 年同比增长 2.2%。从长期维度来看 半导体材料的市场一直随着全球半导体产业销售而同步波动。而由于半导体芯片存在较 大的价格波动,但是作为上游原材料的价格相对较为稳定,因此半导体材料可以被誉为 半导体行业中的剔除价格方面最好的参考指标之一。

此外看到当前半导体市场由于 5G 时代到来,进而推动下游电子设备硅含量的大增,带 来的半导体需求的快速增长,直接推动了各个晶圆厂商的扩产规划(台积电、联电、华 虹、华润微等)。而芯片的制造更是离不开最上游的材料环节,因此我们有望看到全球 以及中国半导体市场规模的飞速增长。



在 2019 年期间,整个半导体材料 521 亿美元的市场规模之中,半导体晶圆制造材料占 据了约 63%,达到了 328 亿元。晶圆制造材料的持续增长也是源自于当前制造工艺不 断升级带来的对于材料的更大的消耗所致。


在半导体原材料领域,集成电路技术发展到微纳电子制造的物理极限,单独依靠特征尺 寸缩小已不足以实现技术发展目标。新材料的引入以及相应的新材料技术与微纳制造技 术相结合共同推动着集成电路不断发展。集成电路制造工艺用到元素已经从 12 种增加 到 61 种。伴随微纳制造工艺不断发展,对材料的纯度,纳米精度尺寸控制、材料的功 能性等都提出了严苛的需求。

简单来看,半导体制造所需要的材料主要分布在一下四步之中:

1. 掺杂/热处理:溅射靶材,湿法化学品、化学气体,CMP 抛光垫和抛光液;

2. 蚀刻/清洁:掩模/光罩,溅射靶材,CMP 抛光垫和抛光液;

3. 沉积:化学气体,CMP 抛光垫和抛光液;

4. 光刻:掩模/光罩、光刻胶、光刻胶显影液、熔剂、剥离剂。

半导体制造过程繁琐且复杂,对于的材料大类的设计也超过了 9 种。其中硅片的占比最 大,达到了122亿美元,37.3%;其次为电子特气,市场规模约为43亿美元,13.2%;光掩模,光刻胶及其辅助材料分别为 41亿美元和 40亿美元,占比达到 12.5%和 12.2%。

在全球半导体材料的需求格局之中,中国大陆从 2011 年的 10%的需求占比,至 2019 年已经达到占据全球需求总量的 16.7%,仅次于中国台湾(21.7%)及韩国(16.9%), 位列全球第二。随着整个半导体产业的持续增长,以及中国大陆不断新建的代工产能, 我们有望看到中国大陆半导体市场规模增速将会持续超越全球增速的同时,攀登至全球 需求第一的宝座。

我们选取代表性公司鼎龙股份、雅克科技、金宏气体、沪硅产业、晶瑞股份、立昂微、 兴森科技、安集科技和彤程新材,2020 年电子材料营收综合约为 62 亿元人民币,考虑 到其他未收录的非上市公司及上市公司,我们展开乐观假设:中国有着电子半导体材 料营收规模 100 亿人民币(更多的为中低端产品,高端产品仍然在持续突破及替代), 在当前 539 亿美元的全球市场之中也仅仅 3%不到的替代率;在中国所需的产值约 91.73 亿美元(对应 17%的全球需求)的市场需求中,也仅占了 16%,因此可以看 到中国无论是在中国市场或者全球市场之中,均有着巨大的国产化空间。


半导体材料国产化率仍待转化。根据集成电路材料和零部件产业技术创新战略联盟的调 研数据,2016 年国内晶圆制造材料企业用于半导体制造的产品销售收入仅 69.5 亿元, 相对于国内晶圆制造材料市场需求的比例约 20%,国产化比例较低。

在国家产业政策大力扶持和国内半导体市场稳定增长等利好条件下,特别是国家“02 专项”等专业化科研项目的培育下,国内半导体材料领域将涌现更多具有国际竞争力的 公司和产品,在更多关键半导体材料领域实现进口替代,打破国外厂商的垄断。

半导体芯片制造工艺半导体将原始半导体材料转变成半导体芯片,每个工艺制程都需要 电子化学品,半导体芯片造过就是物理和化学的反应过程,半导体材料的应用决定了摩 尔定律的持续推进,决定芯片是否将持续缩小线宽。目前我国不同半导体制造材料的技 术水平不等,但整体与国外差距较大,存在巨大的国产替代空间。

8.2、各类材料持续持续突破,业绩佐证国产替代正式开幕

随着半导体市场晶圆代工的持续扩产,对于晶圆制造中不可缺失的基础材料将会有着非 常大的需求拉动,而在此阶段我们可以看到随着技术及工艺的推进以及中国电子产业链 逐步的完善,在材料领域已经开始涌现出各类已经进入批量生产及供应的厂商。

除了以上我们节选的部分半导体及电子材料厂商对于中国卡脖子关键材料的替代以外, 还有众多 A 股上市公司在努力的投入研发力量致力于更多材料的国产化。无论是成本占 比最大的半导体硅片,再到被美国高度垄断的CMP(抛光液及抛光垫)材料,均都实现 了一定的技术突破,在不同的实现果实的收获。

九、光刻胶:产品逐步突破,国产替代已开启

光刻胶,目前做为半导体生产中光刻工艺的核心材料,其主要工作原理是:光刻工艺利 用光刻胶对于各种特殊射线及辐射的反应原理,将事先制备在掩模上的图形转印到晶圆, 建立图形的工艺,使硅片表面曝光完成设计路的电路图,做到分辨率清晰和定位无偏差 电路,就如同建筑物一楼的砖块砌起来和二楼的砖块要对准,叠加的层数越高,技术难 度大。

从光刻胶的发展历程看,从 20 世纪 50 年代至今,光刻技术经历了紫外全谱(300- 340nm),G线(436nm),I线(365nm),深紫外(Deep Ultraviolet,DUV,248nm和 193nm),以及目前最引人注目的极紫外(EUV,13.5nm)光刻,电子束光刻等六个阶 段,随着光刻技术发展,各曝光波长的光刻胶组分(成膜树脂、感光剂和添加剂等)也 随之变化。

光刻胶从功能上又可分为正性及负性光刻胶:正性光刻胶之曝光部分发生光化学反应会 溶于显影液,而未曝光部分不溶于显影液,仍然保留在衬底上,将与掩膜上相同的图形 复制到衬底上;而负性光刻胶之曝光部分因交联固化而不溶于显影液,而未曝光部分溶 于显影液,将与掩膜上相反的图形复制到衬底上。

根据反应机理和显影原理,可以将光刻胶分为正性光刻胶和负性光刻胶。正性光刻胶形 成的图形与掩膜版(光罩)相同,负性光刻胶显影时形成的图形与掩膜版相反。根据感 光树脂的化学结构,光刻胶可分为光聚合型,光分解型和光交联型。根据应用领域,光 刻胶可以分为 PCB 光刻胶、面板光刻胶和半导体光刻胶。

行业壁垒高耸,研发能力要求极高,资金需求巨大。在上述我们也对众多光刻胶进行 了简单的分类,但实际操作中由于各个客户的产品的要求不同,对应的光刻胶的具体要 求将更会是千奇百怪。这一点将会直接导致光刻胶企业在生产制作光刻胶的时候需要具 备足够的配方研发能力,对众多国内仍在起步的厂商无疑是个巨大的挑战。另一方面由 于光刻胶最终需要应用在光刻机上,以 ASML 为例,EUV 光刻机常年保持在 1 亿欧元左右,248nm 的 KrF 光刻机也基本维持在一千万欧元以上。

从光刻胶全球市场来看,根据 Cision 的统计,2019 年约有 91 亿美元的市场规模,且至 2022 年预计将达到 105 亿美元,实现复合增长 5%。而其中半导体、LCD、PCB 这三类 主要的应用场景分别占据了市场空间的24.10%、26.6%、及24.5%,分别对应2019年 的市场规模 22 亿美元、24 亿美元、及 22 亿美元。




Cision 同时也统计了中国光刻胶市场的规模,在 2019 年约为 88 亿元人民币,至 2022 年预计将达到 117 亿元人民币,实现复合增长 15%。如若我们根据全球光刻胶的应用 场景分布来看,在中国大陆所需要的半导体、LCD、及PCB的市场需求分别将达到21、 23、22 亿元人民币。

248nm及以上高端光刻胶为全球市场的主流。中国产业信息网的数据显示,2019年中 国光刻胶市场规模在 176 亿人民币,而其中半导体用光刻胶市场达到 20.7 亿人民币;至 2020 年的预期,国内光刻胶市场有望达到 176 亿人民币,而半导体用光刻胶则将达 到 25 亿人民币,均将实现超过 10%的行业规模增长。而随着国内晶圆厂不断扩产,以 及制程和工艺的提高,有望在后续给光刻胶行业带来更大的增量空间。

然而我们复盘过往中国半导体光刻胶市场规模来看,通过智研产业研究院的统计,在 2015 年中国半导体光刻胶市场规模仅为 10 亿元左右,至 2020 年已经成功提高至约 25 亿人民币的市场规模。而其中的核心原因我们认为是中国半导体晶圆代工产业逐步完善, 晶圆厂产能持续增长带来的市场增长。而随着未来中国内地将要兴建更多的产能之时, 我们有望看到中国半导体光刻胶需求的持续高增长。



虽然中国市场增速巨大,但是从产业端来看,全球共有 5 家主要的光刻胶生产企业。其 中,日本技术和生产规模占绝对优势。而其中在半导体光刻胶中,占据主导位置的还是 以日美两国为主。

国产光刻胶份额:受益于半导体、显示面板、PCB 产业东移的趋势,根据雅克科技,自 2011 年至今,光刻胶中国本土供应规模年华增长率达到 11%,高于全球平均 5%的增 速。根据智研咨询,2019 年中国光刻胶市场本土企业销售规模约 70 亿元,全球占比约 10%,发展空间巨大。目前,中国本土光刻胶以 PCB 用光刻胶为主,平板显示、半导 体用光刻胶供应量占比极低。中国半导体光刻胶的占比仅有 2%,LCD 仅为 3%,而最 为简单 PCB 光刻胶占比高达 94%。


整体来看,全球光刻胶行业主要被 JSR、东京应化、罗门哈斯、信越化学、及富士合理 占据,前五大家占据了全球光刻胶领域的 86%;如若聚焦到全球半导体用光刻胶领域, 前六大家(主要以日本为主)实现了对于市场的 87%的占据。

对于光刻胶中的 KrF、ArF、i 线、g 线,其市占率情况如下,仍然是全球几大龙头形成 了寡头垄断之势,而中国供应商尚未登榜。

而半导体国产光刻胶的发展速度远远慢于其他产业,原因在于:

1、 光刻胶的验证周期长。光刻胶批量测试的 过程需要占用晶圆厂机台的产线时间, 在产能紧张的时期测试时间将会被延长。测试的过程需要与光刻机、掩膜版及 半导体制程中的许多工艺步骤配合,需要付出的成本极高。通常面板光刻胶验 证周期为 1-2 年,半导体光刻胶验证周期为 2-3 年。但是验证通过之后便会形成 长期供应关系,甚至在未来会推动企业之间的联合研发。

2、 原材料成膜树脂具有专利壁垒。树脂的合成难度高,通常光刻胶厂商在合成一 种树脂后会申请相应的专利,目前树脂结构上的专利主要被日本公司占据。

3、 光刻胶产品品类多,配方需要满足差异化需求。根据产品需求来调配适合的树 脂来满足差异化需求对于光刻胶企业是一大难点,也是光刻胶制造商最核心的 技术。

十、CMP:突破重围,国产化启动

CMP 化学机械抛光(
ChemicalMechanicalPolishing)工艺是半导体制造过程中的关键流 程之一,利用了磨损中的“软磨硬”原理,即用较软的材料来进行抛光以实现高质量的 表面抛光。通过化学的和机械的综合作用,从而避免了由单纯机械抛光造成的表面损伤 和由单纯化学抛光易造成的抛光速度慢、表面平整度和抛光一致性差等缺点。


至 2018 年市场抛光液和抛光垫市场分别达到了 12.7 和 7.4 亿美元,其中中国市场的需 求量大约为全球市场容量的 16.7%,即对应市场规模为:抛光液+抛光液=23 亿人民币。


而随各类芯片的技术的进步,抛光步骤也随之增长,从而实现了抛光垫及抛光液用量市 场的持续增长。同时随着芯片制程的提高带动的抛光材质技术要求的提升,以及整体半 导体芯片市场的复苏,我们可以预期到未来 CMP 市场的量*量*价的多重提高。

目前市场上抛光垫目前主要被陶氏化学公司所垄断,市场份额达到 90%左右,其他供 应商还包括日本东丽、3M、中国台湾三方化学、卡博特等公司,合计份额在 10%左右。抛 光液方面,目前主要的供应商包括日本 Fujimi、日本 HinomotoKenmazai,美国卡博特、 杜邦、Rodel、Eka、韩国 ACE 等公司,占据全球 90%以上的市场份额,国内这一市场 主要依赖进口,国内仅有部分企业可以生产,但也体现了国内逐步的技术突破,以及进 口替代市场的巨大。

十一、电子特气:需求空间大,拉开进口替代序幕

电子特种气体是集成电路、显示面板、光伏能源、光纤光缆等电子产业加工制造过程中 不可或缺的关键材料,其市场规模保持高速发展。2010-2018 年,我国电子特气市场规 模复合增速达 15.3%,2018 年我国电子特气市场规模达 121.56 亿元。其中,半导体制 造用电子特气市场规模约 45 亿元。根据前瞻产业研究院预测,2024 年我国电子特种气 体市场规模将达到 230 亿元,2018-2024 年复合增速将达 11.2%。电子特气将为中国新 兴产业的发展注入新动力。


电子特气按照用途可分为蚀刻及清洗气体、成膜气体、掺杂气体三大类。在半导体集成 电路中,电子气体主要应用于蚀刻、掺杂、CVD、清洗等。在晶圆制程中部分工艺涉及 气体刻蚀工艺的应用,主要涉及 CF4、NF3、HBr等;掺杂工艺即将杂质掺入特定的半导 体区域中以改变半导体的电学性质,需要用到三阶气体 B2H6、BF3以及五阶气体 PH3 、 AsH3 等;在硅片表面通过化学气相沉积成膜(CVD)工艺中,主要涉及 SiH4、SiCl4、 WF6等。

在显示面板产业中,在薄膜工序中需要通过化学气相沉积在玻璃基板上沉积薄膜,需要 使用 SiH4、PH3、NF3 、NH3 等。在干法蚀刻工艺中,需要在等离子气态氛围中选择性 腐蚀基材,需要用到 SF6、HCl、Cl2 等;在 LED 产业中,外延技术需要高纯电子特气包 括高纯砷烷、高纯磷烷、高纯氨气,HCl和Cl2常常用做蚀刻气;在太阳能光伏产业中, 晶体硅电池片生产中的扩散工艺需要用到 POCl3,减反射层等 PECVD 工艺需要用到 SiH4、 NH3,蚀刻需要用到 CF4。薄膜太阳能电池在沉积透明导电膜工序中需要用到 B2H6等。

三氟化氮(NF3)是目前应用最广的电子特气,占全球电子气体产量约 50%。NF3 在卤 化氮中最稳定,是一种强氧化剂。在离子蚀刻时裂解为活性氟离子,氟离子对硅化合物、 钨化合物有优异的蚀刻速率和选择性。并且,三氟化氮在蚀刻时,蚀刻物表面不留任何 残留物,是良好的蚀刻、清洗剂。大量应用于半导体、液晶和薄膜太阳能电池生产工艺 中。



两个主要因素推进了我国电子特气的需求高速增长。首先,近年来电子气体下游产业技 术快速更迭。例如,集成电路领域晶圆尺寸从 6 寸、8 寸发展到 12 寸甚至 18 寸,制程 技术从 28nm 到 7nm;显示面板从 LCD 到刚性 OLED 再到柔性、可折叠 OLED 迭代;光 伏能源从晶体硅电池片向薄膜电池片发展等。下游产业的快速迭代让这些产业的关键性 材料电子特气的精细化程度持续提升。并且,由于全球半导体、显示面板等电子产业链 不断向亚洲、中国大陆地区转移,近年来以集成电路、显示面板为主的电子特气需求快 速增长。我国集成电路 2010-2018 年销售额复合增速达 20.8%,对电子特气的需求带 来了持续、强劲的拉动。


然而,目前我国电子特气进口依赖度高,进口替代潜力较大。随着我国半导体、显示面 板市场的快速扩张,包括电子特气在内的上游原材料实现进口替代意义重大。目前我国 电子特种气体市场呈寡头垄断格局,2018 年外企占我国电子气体市场 88%份额。我国 电子气体领域目前的主要的外企包括美国空气化工集团、法国液化空气集团、日本太阳 日酸株式会社、美国普莱克斯、德国林德集团。国内主要企业包括中船 718 所、昊华黎 明院等。目前我国电子特气企业产品供应仍较为单一,但在政策扶持及下游需求的拉动 下,我国电子特气企业体量、产品品种迅速发展,该领域进口替代已拉开序幕。

根据 SEMI 预计,至 2020 年电子特气的市场规模将达到 43.7 亿美元。2010-2018 年, 我国电子特气市场规模复合增速达 15.3%,2018 年我国电子特气市场规模达 121.56 亿 元。其中,半导体制造用电子特气市场规模约 45 亿元。根据前瞻产业研究院预测, 2024 年我国电子特种气体市场规模将达到 230 亿元。



根据 SEMI 的数据统计,2019 年全球晶圆制造用电子气体的市场规模在 42 亿美元,而 全球晶圆出货量面积在 2019 年为 11,810 百万平方英尺。我们对其进行简单的汇率换算 (1 美元约等于 7人民币),以及面积的换算之上,可得出每一片 8 英寸晶圆,所需电子 特气的价值量约为 125 元人民币。

制程升级提升气体用量,中国大陆经原产扩产带来更大的气体需求。无论是逻辑电路 还是存储电路,更先进的工艺都需要在晶圆制造过程中消耗更大量气体。同时中国内资 晶圆厂,例如长江存储、合肥长鑫等均在扩产,产能的扩张将会带来更大的材料需求。

十二、硅片:半导体制造重中之重

纵观半导体硅片的技术演变历程,可以看到从早在 20 世纪 70 年代,硅片的尺寸就逐步 的向着更大尺寸发展。截止至目前全球硅片市场最大的量产型硅片尺寸为 300mm,也 即是所谓的“12 英寸硅片”。

根据目前 SEMI 对于全球各类半导体硅片的出货量统计,我们也看到半导体市场对于 12 英寸硅片的需求及使用也是逐步增加。2011 年,200mm 半导体硅片市场占有率稳 定在 25-27%之间;2016 年至 2017 年,由于汽车电子、智能手机用指纹芯片、液晶显 示器市场需求快速增长,200mm 硅片出货面积同比增长 14.68%;2018 年,200mm 硅 片出货面积达到 3278.00 百万平方英寸,同比增长 6.25%。2018 年,300mm 硅片和 200mm 硅片驰航份额分别为 63.31%和 26.34%,两种尺寸硅片合计占比接近 90.00%。



而硅片之所以趋向于大尺寸,其主要原因是因为单位晶圆生产效率的提高。虽然生产 大尺寸硅片所需要的设备、材料成本等均有所提高,但是考虑到自动化带来的人工费用 的减少以及单片硅片的面积之大,以 200mm(9 寸)和 300mm(12 寸)硅片进行比 较,12 英寸硅片的单位成本仅为 9 英寸硅片的 70%~80%。

由于成本及良率,12 寸硅片仍为主流,技术略有所停滞的当前,国内厂商具备追赶及 替代的机会。但是由于随着硅片的直径越大,硅片结晶过程中的旋转速度也需要与之匹 配的减小,即容易带来由于旋转速度不快、不稳定带来的硅片晶格结构的缺陷,同时随 着直径的扩大,晶圆的边缘之处更容易产生翘曲的情况,从而带来良率的降低,也意味 着生产的成本的提高,因此目前全球的主流硅片的最大尺寸仍仅为 12 英寸,但这也带 给了国内厂商追赶行业龙头的机会。

由于半导体行业与全球宏观形势紧密相关,全球半导体硅片行业在 2009 年受经济危机 影响,出货量与销售额均出现下滑;2010 年智能手机放量增长,硅片行业大幅反弹;2011 年-2016 年,全球经济复苏但较为低迷,硅片行业易随之低速发展;2017 年以来, 得益于半导体终端市场需求强劲,半导体市场规模不断增长,于 2018 年突破百亿美元 大关。至 2020 年全球半导体硅片的收入已经达到 112 亿美元的规模,出货量也达到了 12.41 亿平方英寸。

2008 年至 2013 年,中国大陆半导体硅片市场发展趋势与全球半导体硅片市场一致。2014 年起,随着中国半导体制造生产线投产、中国半导体制造技术的不断进步与中国 半导体终端市场的飞速发展,中国大陆半导体硅片市场步入飞跃式发展阶段。2016 年2018 年,中国半导体硅片销售额从 5.00 亿美元上升至 9.96 亿美元,年均复合增长率高 达 41.17%。中国作为全球最大的半导体终端市场,未来随着中国芯片制造产能的持续 扩张,中国半导体硅片市场的规模将继续以高于全球市场的速度增长。

中国大陆仅有少数几家企业具有 200mm 半导体硅片的生产能力。2017 年以前, 300mm 半导体硅片几乎全部依赖进口。2018 年,硅产业集团子公司上海新昇作为中国 大陆率先实现 300mm 硅片规模化销售的企业,打破了 300mm 半导体硅片国产化率几乎为0%的局面。

中国大陆仅有少数几家企业具有 200mm 半导体硅片的生产能力。2017 年以前, 300mm 半导体硅片几乎全部依赖进口。2018 年,硅产业集团子公司上海新昇作为中国 大陆率先实现 300mm 硅片规模化销售的企业,打破了 300mm 半导体硅片国产化率几 乎为 0%的局面。

十三、湿电子化学品:内资龙头效应显著

湿电子化学品,也叫超净高纯试剂,为微电子、光电子湿法工艺制程中使用的各种电子 化工材料。主要用于半导体、太阳能硅片、LED 和平板显示等电子元器件的清洗和蚀刻 等工艺环节。按用途主要分为通用化学品和功能性化学品,其中通用化学品以高纯溶剂 为主,例如氧化氢、氢氟酸、硫酸、磷酸、盐酸、硝酸等;功能性化学品指通过复配手 段达到特殊功能、满足制造中特殊工艺需求的配方类或复配类化学品,主要包括显影液、 剥离液、清洗液、刻蚀液等。

湿电子化学品目前广泛应用在半导体、平板显示、太阳能电池等多个领域,湿电子化学 品在半导体晶圆制程中应用于晶圆清洗、刻蚀、显影和洗涤去毛刺等工艺,在晶圆领域 制造和封测领域应用分布广。国际半导体材料和设备组织(SEMI)制定了 5 个超纯净 试剂的国际分类标准,应用领域的不同对超纯净试剂要求的等级也不同,半导体领域要 求的等级比平板显示和光伏太阳能电池领域的要求高,基本集中在 SEMI3、G4 的水平, 我国的超纯净试剂研发水平与国际水平上游差距,大多集中在 G2 的水平。

全球的湿电子化学品市场大多被欧美和日本公司占据,其中欧美公司主要有 BASF、霍 尼韦尔、ATMI、杜邦、空气产品公司,营收合计占比 37%左右;日本公司主要有关东 化学、三菱化学、京都化工、住友化学、宇部兴产、森田化学等,营收合计占比 34% 左右;中国台湾地区和韩国公司主要有中国台湾东应化、中国台湾联士电子、鑫林科技、东友、东进 等,营收合计占比 17%左右。国内企业主要有浙江凯圣、湖北兴福、上海新阳、苏州 晶瑞、江化微、江阴润玛、杭州格仕达、贵州微顿品磷等,营收占全球市场 10%左右, 技术等级主要集中在 G2 以下仅有少部分企业达到 G4 以上标准。

在众多工艺化学品企业中,上海新阳已成为先进封装和传统封装行业所需电镀与清洗化 学品的主流供应商,其超纯电镀硫酸铜电镀液已成功进入中芯国际、海力士的 28nm 大 马士革工艺制程,成为 Baseline 产品,进入工业化量产阶段;湖北兴福电子材料有限公 司磷酸、浙江凯圣氟化学有限公司氢氟酸等也都在 8-12 英寸工艺认证中取得较好效果, 即将投入量产应用。




文章转载自微信公众号:DT新材料

Copyright ©大连虹冠锦江机械设备有限公司   技术支持:青葱科技  辽ICP备2020015925号-1 
熔射,热喷涂,东北热喷涂,大连热喷涂,水轮机转子喷涂,金属表面工程,等离子喷涂,零件恢复尺寸机械密封喷涂,溶射,喷涂硬质合金,喷涂氧化铬,喷涂氧化铝钛,火焰,虹冠,锦江,机械,设备,机加工
13795193430
13842610026
返回顶部